OpenSCADAWiki: Home Page En/Doc/ Logic Lev ...

Home | Index | Changes | Comments | Users | Registration | Login  Password:  
 
Ukrainian (1 Êá) Óêðà¿íñüêà?
Russian (1 Êá) Ðîññèéñêèé
 (2 Êá) This page is frozen, actual one here.

Module of the subsystem "Data Acquisition" <LogicLev>

Module: LogicLev
Name: Logical level
Type: DAQ
Source: daq_LogicLev.so
Version: 1.7
Author: Roman Savochenko, Maxim Lysenko (2009)
Description: Provides the logical level of parameters.
License: GPL

Contents

Introduction

The module is a pure logic-level implementation mechanism, based on the templates of parameters of the subsystem "Data acquisition — DAQ". The implementation of the module is based on the "Logical level of the parameters of the system OpenSCADA". Practically, this module is an implementation of the subsystem "Options" of the project without templates and putting it into the module.


The module provides a mechanism for the formation of the parameters of subsystem "DAQ", based on other sources of the subsystem at the level of the user. Actually, the module uses templates of subsystem "DAQ" and the specific format for the description of references to the attributes of the parameters of subsystem "DAQ".


Also, the module implements the functions of the horizontal reservation, namely, working in conjunction with the remote station of the same level. In addition to the synchronization of the archives of values and archives of attributes of parameters the module implements synchronization of computational templates, in order to shockless catch of the algorithms.

1. Data controller

For addition of the data source of parameters of the logical level the controller in the system OpenSCADA is created and configured. Example of the configuration tab of the controller of the type is depicted in Figure 1.


Configuration tab of the controller. (89 Êá)
Fig.1. Configuration tab of the controller.

From this tab you can set:

2. Parameters

The LogicLev module provides two types of parameters: "Logical"(std) and "Reflection parameter"(pRefl). Additional configuration fields, the parameters of the module (Fig. 2) are:


Configuration tab of the parameter. (73 Êá)
Fig.2. Configuration tab of the parameter.

Logical type parameter (std)

When building a template, for logical parameter type of the controller, the peculiarity of the link format of the template must take into account. Reference should be written in the form: <Parameter>|<identifier>, where:

<Parameter> — line, characterizing the parameter;
<Identifier> — id of the attribute of parameter.

This record allows to group multiple attributes of a source parameter and assign them only by the choice of the parameter. Ie in the configuration dialog of the template (Fig. 3)it will be shown only parameter. This does not preclude the possibility to assign the attributes of the parameter each separately, in addition, if you miss in the configuration of the template the description of the links in the specified format, it will be assigned an attribute of the parameter (Fig.4).


The module provides a special treatment of a number of attributes of the template:


Sign "(+)" at the end of the address signals about successful linking and presence of the target. For object's type attributes allowed hierarchical access to the object concrete property by set the path through symbol '#', for example: "LogicLev.experiment.Pi.var#pr1.pr2".


Configuration tab of the template of parameter. (66 Êá)
Fig.3. Configuration tab of the template of parameter.

Configuration tab of the template of parameter. Show only attributes. (82 Êá)
Fig.4. Configuration tab of the template of parameter. Show only attributes.

In accordance with the template underlying the parameter, we get the set of attributes of the parameter Fig.5.


Tab of the attributes of the parameter. (75 Êá)
Fig.5. Tab of the attributes of the parameter.

Parameter reflection (pRef)

All attributes from specified to reflect parameter just become available in this parameter thereby realizing the function proxy, for example, for include the parameters from other sources into a single, export, object controller (PLC).

3. User programming API

In view of the module support logical type parameters make sense to provide a number of functions the user API to call from a template of logical parameter.

The object "Parameter" [this]

Links

Referring pages: HomePageEn/Doc
HomePageEn/Doc/DAQ
HomePageEn/Doc/OpenSCADA060
HomePageEn/Doc/ProgrammManual/part4
HomePageEn/Doc/QuickStart
HomePageEn/Function
HomePageEn/Using/APIFunctionLibs/LibUserPrtDevs
HomePageEn/Using/PLC/firmware
HomePageUk/Doc/DAQ


 
There are 6 files on this page.[Display files/form]
There is no comment on this page. [Display comments/form]